1. <rp id="zsypk"></rp>

      2. 數(shù)字電路課程設(shè)計(jì)報(bào)告

        時(shí)間:2023-03-04 08:20:53 報(bào)告 我要投稿
        • 相關(guān)推薦

        數(shù)字電路課程設(shè)計(jì)報(bào)告

          隨著個(gè)人素質(zhì)的提升,報(bào)告的使用成為日常生活的常態(tài),其在寫作上有一定的技巧。我敢肯定,大部分人都對(duì)寫報(bào)告很是頭疼的,以下是小編為大家收集的數(shù)字電路課程設(shè)計(jì)報(bào)告,供大家參考借鑒,希望可以幫助到有需要的朋友。

        數(shù)字電路課程設(shè)計(jì)報(bào)告

        數(shù)字電路課程設(shè)計(jì)報(bào)告1

          摘要:

          本文著眼于目前普遍應(yīng)用在城市道路上的交通燈控制系統(tǒng),設(shè)計(jì)了一個(gè)東西方向和南北方向十字路口的交通燈控制電路。進(jìn)行交通燈狀態(tài)變換的分析和交通燈總體框架的設(shè)計(jì)。

          關(guān)鍵詞:交通燈 控制電路 proteus 仿真 電路設(shè)計(jì)

          1引言

          1.1設(shè)計(jì)任務(wù)

          首先設(shè)計(jì)讓倒計(jì)時(shí)顯示器按規(guī)律運(yùn)行的電路,再通過(guò)倒計(jì)時(shí)電路的信號(hào)來(lái)控制交通燈按4 種狀態(tài)循環(huán)變換。電源電路采用9V 變壓器、整流橋和穩(wěn)壓管,使220V 的交流電轉(zhuǎn)換為5V 的直流電。4Hz 方波脈沖由555 定時(shí)器產(chǎn)生,再由74LS193 實(shí)現(xiàn)4 分頻,最終輸出1Hz 的脈沖信號(hào);用兩塊74LS193 實(shí)現(xiàn)倒計(jì)時(shí),一塊顯示十位,一塊顯示個(gè)位,用2 個(gè)D 觸發(fā)器74HC74實(shí)現(xiàn)30s,20s,5s 時(shí)間的轉(zhuǎn)換;利用倒計(jì)時(shí)電路控制4 個(gè)狀態(tài)。最后通過(guò)74LS138 和相應(yīng)的邏輯門實(shí)現(xiàn)對(duì)交通燈亮滅的控制。

          1.2 要求

          設(shè)計(jì)一個(gè)東西方向和南北方向十字路口的交通燈控制電路。

          要求如下:

         。1)南北方向(主干道)車道和東西方向(支干道)車道兩條交叉道路上的車輛交替運(yùn)行,主干道每次通行時(shí)間都設(shè)為30s、支干道每次通行間為20s;

          (2)東西方向、南北方向車道除了有紅、黃、綠燈指示外,每一種燈亮的時(shí)間都用顯示器進(jìn)行顯示(采用倒計(jì)時(shí)的方法);

         。3)在綠燈轉(zhuǎn)為紅燈時(shí),要求黃燈先亮5s 鐘,才能變換運(yùn)行車道;

          (4)黃燈亮?xí)r,要求每秒閃亮一次;

         。5)同步設(shè)置人行橫道紅、綠燈指示。

          (6)設(shè)計(jì)相關(guān)提示:所設(shè)計(jì)的`交通路口為一十字路口,不涉及左右轉(zhuǎn)彎問(wèn)題

          2 交通燈控制電路分析

          2.1交通燈運(yùn)行狀態(tài)分析

          交通燈控制電路,要求每個(gè)方向有三盞燈,分別為紅、黃、綠,配以紅、黃、綠三組 時(shí)間到計(jì)時(shí)顯示。一個(gè)方向綠燈、黃燈亮?xí)r,另一個(gè)方向紅燈亮。每盞燈順序點(diǎn)亮,循 環(huán)往復(fù),每個(gè)方向順序?yàn)榫G燈、黃燈、紅燈。交通燈的運(yùn)行狀態(tài)共有四種,分別為:

          狀態(tài)0:東西方向車道的綠燈亮,車道,人行道通行;南北方向車道的紅燈亮,車道, 人行道禁止通行。

          狀態(tài)1:東西方向車道的黃燈亮,車道,人行道緩行;南北方向車道的紅燈亮,車道, 人行道禁止通行;

          狀態(tài)2:東西方向車道的紅燈亮,車道,人行道禁止通行;南北方向車道的綠燈亮,車道,人行道通行;

          狀態(tài)3:東西方向車道的紅燈亮,車道,人行道禁止通行;南北方向車道的黃燈亮,車道,人行道緩行;

          4 種狀態(tài)循環(huán)往復(fù),并且紅燈的倒計(jì)初始值為綠燈的倒計(jì)初始值和黃燈的倒計(jì)初始值 之和。

          2.2電路工作總體框

          交通燈控制電路主要由以下幾部分構(gòu)成,有電源電路,脈沖電路,分 頻電路,倒計(jì)時(shí)電路,(交通燈)狀態(tài)控制電路,燈顯示電路。

          3所需各部分電路設(shè)計(jì)

          3.1電源電路:電源電路主要由整流、濾波、穩(wěn)壓三部分組成,用于供給數(shù)字電路的工作電源。整流部分由變壓器與整流橋KBP210G 組成。220V、50Hz 的交流輸入經(jīng)過(guò)變壓器之后,輸出9V、50Hz 的交流電壓。該電壓輸入整流橋,整流橋由四只整流二極管接成電橋的形式組成。整流橋輸出8.1V 的直流電壓。濾波電路用于濾去整流輸出電壓中的紋波,由1mF 的電容組成電容濾波電路。電容濾波電路簡(jiǎn)單,負(fù)載直流電壓較高,紋波也較小,適合負(fù)載電壓較高,負(fù)載變動(dòng)不大的場(chǎng)合。穩(wěn)壓電路用于穩(wěn)定電壓的輸出,由三端集成穩(wěn)壓器L7805 和電容組成。C2、C3 用來(lái)實(shí)現(xiàn)頻率補(bǔ)償,防止穩(wěn)壓器產(chǎn)生高頻自激振蕩和抑制電路引入的高頻干擾,C4 用于減少穩(wěn)壓電源輸出端由輸入電源引入的低頻干擾。

          數(shù)字電路課程設(shè)計(jì)報(bào)告3

          設(shè)計(jì)題目: 數(shù)字電子鐘邏輯電路 專業(yè)班級(jí): 自動(dòng)化112班 學(xué)生姓名: xx 學(xué) 號(hào):xx指導(dǎo)教師: xx 設(shè)計(jì)時(shí)間: xx

          教師評(píng)語(yǔ): 成績(jī) 評(píng)閱教師 日期

          一、摘要

          所謂數(shù)字鐘,是指利用電子電路構(gòu)成的計(jì)時(shí)器。相對(duì)機(jī)械鐘而言,數(shù)字鐘能實(shí)現(xiàn)準(zhǔn)確計(jì)時(shí),并顯示時(shí)、分、秒,而且可以方便準(zhǔn)確的對(duì)時(shí)間進(jìn)行調(diào)節(jié)。在此基礎(chǔ)上,還可以實(shí)現(xiàn)整點(diǎn)報(bào)時(shí)的功能。因此,數(shù)字鐘的應(yīng)用十分廣泛。我們要通過(guò)這次的課程設(shè)計(jì)掌握數(shù)字鐘的原理,學(xué)會(huì)設(shè)計(jì)簡(jiǎn)單的數(shù)字鐘。

          二、設(shè)計(jì)任務(wù)

          用中小規(guī)模集成電路設(shè)計(jì)一臺(tái)能顯示時(shí)、分、秒24時(shí)制地的數(shù)字電子鐘,具體要求如下:

          時(shí)為00-23二十四進(jìn)制計(jì)數(shù)器; 秒、分為00-59六十進(jìn)制計(jì)數(shù)器;

          整點(diǎn)報(bào)時(shí),整點(diǎn)報(bào)時(shí)電路要求在每個(gè)整點(diǎn)前鳴叫五次低音(100Hz),整點(diǎn)時(shí)再鳴叫一次高音(500Hz)。

          三、工作原理

          數(shù)字電子鐘所采用的是十六進(jìn)制計(jì)數(shù)器74LS161和十進(jìn)制計(jì)數(shù)器74SL160,根據(jù)時(shí)分秒各個(gè)部分的的不同功能,設(shè)計(jì)成不同進(jìn)制。秒的個(gè)位,需要10進(jìn)制計(jì)數(shù)器,十位需6進(jìn)制計(jì)數(shù)器(計(jì)數(shù)到59時(shí)清零并進(jìn)位)。秒部分設(shè)計(jì)與分鐘的設(shè)計(jì)完全相同;時(shí)部分的設(shè)計(jì)為當(dāng)時(shí)鐘計(jì)數(shù)到24時(shí),使計(jì)數(shù)器的小時(shí)部分清零,從而實(shí)現(xiàn)整體循環(huán)計(jì)時(shí)的功能。

          四、可選器材:

          (1)數(shù)字電子技術(shù)實(shí)驗(yàn)系統(tǒng)箱, (2)直流穩(wěn)壓電源,

          (3)集成芯片:74LS161 2個(gè)、74LS160 4個(gè)、 74LS00 2個(gè)、 74LS20 1個(gè)。 (4)喇叭,1/4W、8Ω。

        數(shù)字電路課程設(shè)計(jì)報(bào)告2

          設(shè)計(jì)題目: 數(shù)字電子鐘邏輯電路 專業(yè)班級(jí): 自動(dòng)化112班 學(xué)生姓名: xx 學(xué) 號(hào):xx指導(dǎo)教師: xx 設(shè)計(jì)時(shí)間: xx

          教師評(píng)語(yǔ): 成績(jī) 評(píng)閱教師 日期

          一、摘要

          所謂數(shù)字鐘,是指利用電子電路構(gòu)成的計(jì)時(shí)器。相對(duì)機(jī)械鐘而言,數(shù)字鐘能實(shí)現(xiàn)準(zhǔn)確計(jì)時(shí),并顯示時(shí)、分、秒,而且可以方便準(zhǔn)確的對(duì)時(shí)間進(jìn)行調(diào)節(jié)。在此基礎(chǔ)上,還可以實(shí)現(xiàn)整點(diǎn)報(bào)時(shí)的功能。因此,數(shù)字鐘的應(yīng)用十分廣泛。我們要通過(guò)這次的課程設(shè)計(jì)掌握數(shù)字鐘的原理,學(xué)會(huì)設(shè)計(jì)簡(jiǎn)單的數(shù)字鐘。

          二、設(shè)計(jì)任務(wù)

          用中小規(guī)模集成電路設(shè)計(jì)一臺(tái)能顯示時(shí)、分、秒24時(shí)制地的數(shù)字電子鐘,具體要求如下:

          時(shí)為00-23二十四進(jìn)制計(jì)數(shù)器; 秒、分為00-59六十進(jìn)制計(jì)數(shù)器;

          整點(diǎn)報(bào)時(shí),整點(diǎn)報(bào)時(shí)電路要求在每個(gè)整點(diǎn)前鳴叫五次低音(100Hz),整點(diǎn)時(shí)再鳴叫一次高音(500Hz)。

          三、工作原理

          數(shù)字電子鐘所采用的是十六進(jìn)制計(jì)數(shù)器74LS161和十進(jìn)制計(jì)數(shù)器74SL160,根據(jù)時(shí)分秒各個(gè)部分的的不同功能,設(shè)計(jì)成不同進(jìn)制。秒的個(gè)位,需要10進(jìn)制計(jì)數(shù)器,十位需6進(jìn)制計(jì)數(shù)器(計(jì)數(shù)到59時(shí)清零并進(jìn)位)。秒部分設(shè)計(jì)與分鐘的設(shè)計(jì)完全相同;時(shí)部分的設(shè)計(jì)為當(dāng)時(shí)鐘計(jì)數(shù)到24時(shí),使計(jì)數(shù)器的小時(shí)部分清零,從而實(shí)現(xiàn)整體循環(huán)計(jì)時(shí)的功能。

          四、可選器材:

          (1)數(shù)字電子技術(shù)實(shí)驗(yàn)系統(tǒng)箱, (2)直流穩(wěn)壓電源,

          (3)集成芯片:74LS161 2個(gè)、74LS160 4個(gè)、 74LS00 2個(gè)、 74LS20 1個(gè)。 (4)喇叭,1/4W、8Ω。

          五、參考電路見附錄一、附錄二

          六、方案的設(shè)計(jì)

          計(jì)數(shù)部分:利用74LS161芯片,74LS160芯片和74LS00芯片組成的計(jì)數(shù)器,它們采用異步連接,利用外接標(biāo)準(zhǔn)1Hz脈沖信號(hào)進(jìn)行計(jì)數(shù)。

          1. 顯示部分: 將兩片74LS161芯片和四片74LS60的Q0Q1Q2Q3腳分別接到實(shí)驗(yàn)箱上的數(shù)碼顯示管上,根據(jù)脈沖的個(gè)數(shù)顯示時(shí)間。 (一)設(shè)計(jì)步驟及方法

          所有74LS161芯片和74LS160的16腳接5V電源(置為1),3腳、4腳、5腳、6腳和8腳接地(置為0)。74LS00芯片的14腳接5V電源(置為1),7接地。 1. 秒設(shè)計(jì)

          秒部分具體設(shè)計(jì)如圖示:

          秒部分設(shè)計(jì)圖

          秒的個(gè)位部分為逢十進(jìn)一,十位部分為逢六進(jìn)一,從而共同完成60進(jìn)制計(jì)數(shù)器。當(dāng)計(jì)數(shù)到59時(shí)清零并重新開始計(jì)數(shù)。秒的個(gè)位部分的設(shè)計(jì):利用十進(jìn)制計(jì)數(shù)器74LS160和與非門74LS00在面包板上設(shè)計(jì)10進(jìn)制計(jì)數(shù)器顯示秒的個(gè)位 。計(jì)數(shù)器的1腳接高電平,7腳及10腳接1。因?yàn)?腳和10腳同時(shí)為1時(shí)計(jì)數(shù)器處于計(jì)數(shù)工作狀態(tài).秒的個(gè)位和十位的2腳相接從而實(shí)現(xiàn)同步工作,15腳(串行進(jìn)位輸出端)接十位的7腳和10腳。個(gè)位計(jì)數(shù)器由Q3Q2Q1Q0(0000)2增加到(1001)2時(shí)產(chǎn)生進(jìn)位,并十位部計(jì)數(shù)器的2腳脈沖輸入端CP,從而實(shí)現(xiàn)10進(jìn)制計(jì)數(shù)和進(jìn)位功能。利用74LS161和74LS00在面包板上設(shè)計(jì)6進(jìn)制計(jì)數(shù)器顯示秒的十位 :7腳和10腳接各位計(jì)數(shù)器的15腳(串行進(jìn)位輸出端),當(dāng)個(gè)位計(jì)數(shù)器由Q3Q2Q1Q0(0000)2增加到(1001)2時(shí)產(chǎn)生進(jìn)位,并十位部分開始計(jì)數(shù),通過(guò)74LS00對(duì)Q2Q1與非接入74LS161的1腳清零端和分個(gè)位計(jì)數(shù)器的2腳脈沖輸入端CP,從而實(shí)現(xiàn)6進(jìn)制計(jì)數(shù)器和進(jìn)位功能。 2. 分鐘的設(shè)計(jì)

          分鐘部分具體設(shè)計(jì)如圖示:

          分部分設(shè)計(jì)圖

          分鐘個(gè)位部分逢十進(jìn)一,十位部分逢六進(jìn)一,從而共同完成60進(jìn)制計(jì)數(shù)器。當(dāng)計(jì)數(shù)到59時(shí)重新開始計(jì)數(shù)。利用74LS160和74LS00設(shè)計(jì)10進(jìn)制計(jì)數(shù)器顯示分的個(gè)位 :1腳,7腳和10接高電平,15腳(串行進(jìn)位輸出端)接十位計(jì)數(shù)

          器的7腳和10腳。當(dāng)個(gè)位計(jì)數(shù)器由Q3Q2Q1Q0(0000)2增加到(1001)2時(shí)產(chǎn)生進(jìn)位,十位計(jì)數(shù)器和各位計(jì)數(shù)器的2腳相接從而實(shí)現(xiàn)同步工作。并將計(jì)數(shù)器的2腳脈沖輸入端,從而實(shí)現(xiàn)10進(jìn)制計(jì)數(shù)器和進(jìn)位功能。利用74LS161和74LS00在面包板上設(shè)計(jì)6進(jìn)制計(jì)數(shù)器顯示分的十位 :當(dāng)由Q3Q2Q1Q0(0000)2增加到(0101)2時(shí),通過(guò)74LS00對(duì)Q2Q1與非接入74LS161的1腳清零端和小時(shí)的個(gè)位計(jì)數(shù)器的2腳脈沖輸入端,從而實(shí)現(xiàn)6進(jìn)制計(jì)數(shù)器和進(jìn)位功能。 3. 小時(shí)的設(shè)計(jì)

          小時(shí)部分具體設(shè)計(jì)如圖示:

          小時(shí)部分設(shè)計(jì)圖

          利用74LS160和74LS00設(shè)計(jì)10進(jìn)制計(jì)數(shù)器顯示小時(shí)的個(gè)位 :7腳和10腳接高電平。15腳(串行進(jìn)位輸出端)接入十位計(jì)數(shù)器的7腳和10腳,個(gè)位計(jì)數(shù)器和十位計(jì)數(shù)器的2腳相接從而實(shí)現(xiàn)同步工作方式。小時(shí)十位計(jì)數(shù)器的2腳脈沖輸入端,從而實(shí)現(xiàn)10進(jìn)制計(jì)數(shù)器和進(jìn)位功能。利用74LS161和74LS00在面包板上設(shè)計(jì)計(jì)數(shù)器顯示分鐘的十位 :當(dāng)十位計(jì)數(shù)器由Q3Q2Q1Q0(0000)2增加到(0010)2并且個(gè)位計(jì)數(shù)器Q3Q2Q1Q0由(0000)2增加到(0100)2時(shí),通過(guò)74LS00對(duì)十位計(jì)數(shù)器的Q1和個(gè)位計(jì)數(shù)器Q2與非,分別接入十位和個(gè)位的74LS161的1腳清零端,從而共同完成24進(jìn)制計(jì)數(shù)器并清零。

          七、電路總體說(shuō)明;通過(guò)外接時(shí)鐘脈沖CP的作用下,秒的個(gè)位加法計(jì)數(shù)器;八、實(shí)驗(yàn)問(wèn)題小結(jié);1.實(shí)驗(yàn)室缺少74LS248.74LS48芯片解;2.進(jìn)行試驗(yàn)時(shí)秒個(gè)位計(jì)數(shù)器完成一次循環(huán)之后沒有進(jìn);解決問(wèn)題:秒的個(gè)位和十位的2腳沒有相接從而不能實(shí);九、實(shí)驗(yàn)總結(jié);課程設(shè)計(jì)是我們運(yùn)用所學(xué)知識(shí),動(dòng)手實(shí)踐的一個(gè)好機(jī)會(huì);我們通過(guò)親自動(dòng)手連線、試驗(yàn),遇到問(wèn)題、解決問(wèn)題,;十.參考文

          七、電路總體說(shuō)明

          通過(guò)外接時(shí)鐘脈沖CP的作用下,秒的個(gè)位加法計(jì)數(shù)器開始記數(shù),通過(guò)譯碼器和數(shù)碼顯示管顯示數(shù)字即計(jì)數(shù)器。當(dāng)經(jīng)過(guò)10個(gè)脈沖信號(hào)后,秒個(gè)位計(jì)數(shù)器完成一次循環(huán),秒十位計(jì)數(shù)器的'CP與秒個(gè)位計(jì)數(shù)器的CP同步,秒個(gè)位計(jì)數(shù)器的Qcc使得秒十位的P和T端同時(shí)為1(Qcc為進(jìn)位端,當(dāng)個(gè)位為9時(shí)進(jìn)位并Qcc=1),從而秒十位開始計(jì)數(shù),秒十位計(jì)數(shù)器工作1次,通過(guò)譯碼器和數(shù)碼顯示管,秒十位數(shù)字加1。當(dāng)經(jīng)過(guò)60個(gè)脈沖信號(hào),秒部分完成一個(gè)周期,分鐘個(gè)位計(jì)數(shù)器的CP通過(guò)秒十位計(jì)數(shù)器的Q2Q1與非得到脈沖,分鐘個(gè)位計(jì)數(shù)器工作一次,通過(guò)譯碼器和數(shù)碼顯示管,分鐘的個(gè)位數(shù)字加1。分部分的工作方式與秒部分完全相同。當(dāng)經(jīng)過(guò)3600個(gè)脈沖信號(hào),分鐘部分完成一個(gè)周期,小時(shí)個(gè)位計(jì)數(shù)器的CP通過(guò)分十位計(jì)數(shù)器的Q2Q1與非得到脈沖,小時(shí)個(gè)位計(jì)數(shù)器工作一次,通過(guò)譯碼器和數(shù)碼顯示管,小時(shí)的個(gè)位數(shù)字加1。當(dāng)小時(shí)個(gè)位部分完成一個(gè)周期,小時(shí)十位計(jì)數(shù)器的CP與小時(shí)個(gè)位計(jì)數(shù)器的CP同步, 小時(shí)個(gè)位計(jì)數(shù)器的Qcc使得小時(shí)十位的P和T端同時(shí)為1,從而小時(shí)十位開始計(jì)數(shù),小時(shí)十位計(jì)數(shù)器工作1次,通過(guò)譯碼器和數(shù)碼顯示管,小時(shí)的十位數(shù)字加1。當(dāng)小時(shí)十位部分計(jì)數(shù)到2同時(shí)小時(shí)的個(gè)位部分計(jì)數(shù)到4,小時(shí)個(gè)位計(jì)數(shù)器的清零端和十位計(jì)數(shù)器的清零端通過(guò)小時(shí)個(gè)位計(jì)數(shù)器的Q2和小時(shí)十位計(jì)數(shù)器的Q1與非得到信號(hào),小時(shí)部分清零,從而完成了1次24小時(shí)計(jì)時(shí)。

          八、實(shí)驗(yàn)問(wèn)題小結(jié)

          1.實(shí)驗(yàn)室缺少74LS248.74LS48芯片 解決問(wèn)題:用CD4511芯片代替

          2. 進(jìn)行試驗(yàn)時(shí)秒個(gè)位計(jì)數(shù)器完成一次循環(huán)之后沒有進(jìn)位

          解決問(wèn)題:秒的個(gè)位和十位的2腳沒有相接從而不能實(shí)現(xiàn)同步工作

          九、實(shí)驗(yàn)總結(jié)

          課程設(shè)計(jì)是我們運(yùn)用所學(xué)知識(shí),動(dòng)手實(shí)踐的一個(gè)好機(jī)會(huì)。它既可以幫助我們加深對(duì)所學(xué)知識(shí)的理解,又能提高我們運(yùn)用知識(shí),聯(lián)系實(shí)際,動(dòng)手實(shí)踐的能力。而且在設(shè)計(jì)過(guò)程中可能用到我們沒學(xué)過(guò)的知識(shí),需要我們?nèi)ゲ殚嗁Y料獲取相關(guān)信息,這又提高了我們查找信息和學(xué)習(xí)新知識(shí)的能力。在實(shí)驗(yàn)過(guò)程中,又會(huì)遇到許多意想不到的問(wèn)題,需要我們?nèi)シ治鲈蚝腿绾稳ソ鉀Q這些問(wèn)題。

          我們通過(guò)親自動(dòng)手連線、試驗(yàn),遇到問(wèn)題、解決問(wèn)題,鞏固了書本的知識(shí),同時(shí)也學(xué)到了新的學(xué)問(wèn),明白了實(shí)踐的可貴性。總之,課程設(shè)計(jì)對(duì)我們提高自身能力是很有幫助的。

          十.參考文獻(xiàn):

          《通用GENERAL集成電路速查手冊(cè)》

          《數(shù)字電子技術(shù)》

        數(shù)字電路課程設(shè)計(jì)報(bào)告3

          一、設(shè)計(jì)目的

          溫度是日常生活中無(wú)時(shí)不在的物理量,溫度的控制在各個(gè)領(lǐng)域有著廣泛積極的意義。如溫室的溫度控制等。另外隨著數(shù)字電子技術(shù)的迅速發(fā)展,將模擬電量轉(zhuǎn)換成數(shù)字量輸出的接口電路A/D轉(zhuǎn)換器是現(xiàn)實(shí)世界中模擬信號(hào)向數(shù)字信號(hào)的橋梁。在以往的'A/D器件采樣控制設(shè)計(jì)中,多數(shù)是以單片機(jī)或CPU為控制核心,雖然編程簡(jiǎn)單,控制靈活,但缺點(diǎn)是控制周期長(zhǎng),速度慢。單片機(jī)的速度極大的限制了A/D高速性能的利用,而FPGA的時(shí)鐘頻率可高達(dá)100MHz以上。本設(shè)計(jì)進(jìn)行時(shí)序控制、碼制變換,具有開發(fā)周期短,靈活性強(qiáng),通用能力好,易于開發(fā)、擴(kuò)展等優(yōu)點(diǎn)。

          二、設(shè)計(jì)的基本內(nèi)容

          本次設(shè)計(jì)主要是基于FPGA+VHDL的溫度控制系統(tǒng),可編程器件FPGA和硬件描述語(yǔ)言VHDL的使用使得數(shù)字電路的設(shè)計(jì)周期縮短、難度減少。設(shè)計(jì)采用模塊化思路,包括四個(gè)模塊FPGA控制ADC0809模塊、分頻模塊、數(shù)據(jù)傳輸模塊、元件例化模塊,再加以整合實(shí)現(xiàn)整個(gè)系統(tǒng),達(dá)到溫度控制的目的。

          基于FPGA的信號(hào)采集系統(tǒng)主要有:A/D轉(zhuǎn)換器,F(xiàn)PGA,RS232通信。A/D轉(zhuǎn)換器對(duì)信號(hào)進(jìn)行會(huì)采集,A/D內(nèi)部集成了采樣、保持電路,可有效的降低誤差,減少外圍電路的設(shè)計(jì),降低系統(tǒng)的功耗。A/D在接受到指令后進(jìn)行采集,F(xiàn)PGA采集控制模塊首先將采集到的通過(guò)A/D轉(zhuǎn)換城的數(shù)字信號(hào)引入FPGA,而后對(duì)數(shù)字信號(hào)送往算法實(shí)現(xiàn)單元進(jìn)行處理,并存于FPGA內(nèi)部RAM中。

          1.實(shí)驗(yàn)設(shè)計(jì)指標(biāo)及要求:

          1.1課題說(shuō)明:

          在體育比賽、時(shí)間準(zhǔn)確測(cè)量等場(chǎng)合通常要求計(jì)時(shí)精度到1%秒(即10 ms)甚至更高的計(jì)時(shí)裝置,數(shù)字秒表是一種精確的計(jì)時(shí)儀表,可以擔(dān)當(dāng)此任。本課題的設(shè)計(jì)任務(wù)設(shè)計(jì)一個(gè)以數(shù)字方式顯示的計(jì)時(shí)器,即數(shù)字秒表。

          1.2設(shè)計(jì)內(nèi)容:

          a)數(shù)字秒表需求分析,信號(hào)及屬性定義;

          b)電路原理設(shè)計(jì)、分析、參數(shù)計(jì)算,畫出電路原理;

          c)電路安裝與實(shí)驗(yàn)測(cè)試。

          1.3設(shè)計(jì)要求:

          d)量程99.99 S,計(jì)時(shí)精度1%秒,計(jì)時(shí)結(jié)果動(dòng)態(tài)顯示,十進(jìn)制格式;

          e)設(shè)置啟動(dòng)、清除信號(hào),清除信號(hào)使輸出結(jié)果,使電路復(fù)位到初始狀態(tài);

          f)設(shè)置暫停、停止信號(hào),暫停、停止時(shí)均保持當(dāng)前結(jié)果,直到清除信號(hào)有效時(shí)止;

          1.4總體設(shè)計(jì)思路:

          數(shù)字秒表由4個(gè)部分組成:精確的時(shí)鐘源、十進(jìn)制計(jì)數(shù)器、譯碼器、七段碼或液晶顯示電路。

          時(shí)鐘源產(chǎn)生符合精度要求的基準(zhǔn)時(shí)鐘,本設(shè)計(jì)中取10毫秒即可。十進(jìn)制計(jì)數(shù)器需要4個(gè),分別對(duì)應(yīng)4個(gè)十進(jìn)制位,輸出為BCD碼。若采用七段碼顯示器則譯碼器完成BCD到七段碼的譯碼,由4位顯示電路動(dòng)態(tài)顯示結(jié)果。

          2.單元電路設(shè)計(jì):

          分頻、進(jìn)位功能的實(shí)現(xiàn):

          數(shù)字秒表由四部分組成:精確的時(shí)鐘源,十進(jìn)制計(jì)數(shù)器,譯碼器,七段碼顯示電路。本實(shí)驗(yàn)設(shè)計(jì)時(shí)鐘脈沖源采用電路板上的1000HZ脈沖,74ls90芯片具有2-5-10進(jìn)制功能,

          由5片74ls90芯片構(gòu)成分頻、計(jì)數(shù)電路,第一片74ls90芯片將直接輸入的1000HZ脈沖源分成100HZ,后四片74ls90芯片再逐次進(jìn)行10H、1HZ、0.1HZ的分頻工作,與此同時(shí)后4片74ls90芯片組成十進(jìn)制計(jì)數(shù)器與四個(gè)終端顯示由七段譯碼顯示器連接以顯示電路輸出結(jié)果。

        【數(shù)字電路課程設(shè)計(jì)報(bào)告】相關(guān)文章:

        課程設(shè)計(jì)總結(jié)報(bào)告11-22

        課程設(shè)計(jì)報(bào)告(通用6篇)04-13

        課程設(shè)計(jì)的總結(jié)報(bào)告-個(gè)人工作總結(jié)12-28

        大學(xué)物理實(shí)驗(yàn)課程設(shè)計(jì)實(shí)驗(yàn)報(bào)告范文10-07

        課程設(shè)計(jì)與評(píng)價(jià)的學(xué)習(xí)總結(jié)11-15

        課程設(shè)計(jì)與評(píng)價(jià)學(xué)習(xí)總結(jié)11-15

        課程設(shè)計(jì)與評(píng)論學(xué)習(xí)總結(jié)11-26

        關(guān)于大學(xué)物理實(shí)驗(yàn)課程設(shè)計(jì)實(shí)驗(yàn)報(bào)告范文10-07

        課程設(shè)計(jì)工作總結(jié)12-22

        99热这里只有精品国产7_欧美色欲色综合色欲久久_中文字幕无码精品亚洲资源网久久_91热久久免费频精品无码
          1. <rp id="zsypk"></rp>